רובוט
היי א אי
stars

תגידו שלום לתפקיד הבא שלכם

לראשונה בישראל:
המלצות מבוססות AI שישפרו
את הסיכוי שלך למצוא עבודה

מהנדס חשמל

מסמך
מילות מפתח בקורות חיים
סימן שאלה
שאלות הכנה לראיון עבודה
עדכון משתמש
מבחני קבלה לתפקיד
שרת
שכר
משרות על המפה
 
בדיקת קורות חיים
אבחון און ליין
VIP
הפוך ללקוח VIP
רגע, משהו חסר!
נשאר לך להשלים רק עוד פרט אחד:
 
שירות זה פתוח ללקוחות VIP בלבד
AllJObs VIP

חברות מובילות
כל המידע למציאת עבודה
כל מה שרציתם לדעת על מבחני המיון ולא העזתם לשאול
זומנתם למבחני מיון ואין לכם מושג לקראת מה אתם ה...
קרא עוד >
קריירה בקאמבק : איך לחזור ובגדול
עזבתם מקום עבודה? שיניתם כיוון מקצועי? לפעמים ש...
קרא עוד >
כיצד להתבלט בישיבות עבודה?
נצלו את הפאנל המקצועי ודחפו את עצמכם קדימה – הפ...
קרא עוד >
לימודים
עומדים לרשותכם
מיין לפי: מיין לפי:
הכי חדש
הכי מתאים
הכי קרוב
טוען
סגור
לפי איזה ישוב תרצה שנמיין את התוצאות?
Geo Location Icon

משרות בלוח החם
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
משרה בלעדית
לפני 5 שעות
AM הנדסה ופרויקטים
דרושים בAM הנדסה ופרויקטים
מיקום המשרה: מספר מקומות
סוג משרה: משרה מלאה
לחברת ההנדסה AM-Projects דרוש/ה מפקח/ת חשמל - לאזור הצפון!
כחלק מהמשרה:
* פיקוח על עבודות קבלניות בתחום החשמל
* פיקוח על טיב ביצוע עבודת הקבלנים בשטח
* קריאת תוכניות ושרטוטי חשמל
* עבודה עם ציוד חשמל
* ליווי וקידום הפרויקט
דרישות:
* מהנדס/ת / הנדסאי/ת חשמל - חובה!
* ניסיון של מעל 10 שנים בפיקוח על עבודות חשמל בבנייה - חובה!
* נדרשת נכונות לעבודת שטח באתר ולעבודה בשעות גמישות המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7701547
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
לפני 5 שעות
סוג משרה: משרה מלאה
לחברה מובילה בתחום ניהול ופיקוח פרויקטים בענף הבניה דרוש/ה מנהל/ת פרויקט לאזור הצפון.
התפקיד כולל:
* פיקוח על קבלני ביצוע וקבלני משנה בשטח.
* מתן מענה לבעיות מקצועיות.
* בדיקה ואישור חשבונות וחישובי כמויות.
* מעקב אחר לוחות זמנים.
* פיקוח על איכות העבודה בפרויקטי הקמה ושדרוג מבנים קיימים.
דרישות:
* מהנדס /ת /אזרחי/ת /בניין עם נסיון של למעלה מ 5 שנים בפיקוח וניהול של פרויקטים   ציבוריים/ תעשייתיים - חובה!
* ניסיון בניהול קבלנים בשטח.
* ניסיון בפיקוח וניהול של פרויקטים ציבוריים/ תעשייתיים.
* סיווג בטחוני - יתרון.
* המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7676160
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
משרה בלעדית
לפני 5 שעות
דנאל משאבי אנוש בע"מ- סניף חיפה
דרושים בדנאל משאבי אנוש בע"מ- סניף חיפה
סוג משרה: משרה מלאה
לחברה מובילה ביקנעם דרוש.ה מהנדס.ת חשמל

תיאור התפקיד:
תכנון מערכות סולאריות מחוץ לרשת, כולל אחסון.
תכנון מערכות סולאריות המחוברות לרשת, כולל SLD

פרטים נוספים יינתנו במעמד ראיון
דרישות:
דרישות
תואר ראשון בהנדסת חשמל או הנדסאי/ת - חובה
תעודת מורשה לחתום על תוכניות חשמל - חובה
ניסיון של 3+ שנים בענף הסולארי
מיומנות בתכנון מערכות מחוץ לרשת מא' ועד ת' כולל שילוב אחסון.
ניסיון בתכנון חשמל למערכות מחוברות לרשת PV ו-SLD- Advantage.
ניסיון במערכות חשמל לרכב - יתרון.
יכולת תכנון מערכות DC, עם מומחיות בציון רכיבים לטעינת סוללה בקנה מידה קטן - יתרון. המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7709126
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
משרה בלעדית
לפני 5 שעות
חברה חסויה
Location: More than one
Job Type: Full Time and Hybrid work
What you'll do:
Build and manage a team of 6-8 TEST engineers.
Yearly and quarterly capacity planning and work plans.
Growth plans for team members.
Hands-on technical management.
Push for innovative solution design and implementation.?
Budget planning.
Setup and lab management etc.
Review requirements, specifications, and technical design documents to provide timely and meaningful feedback and implement the same in testing.
Analyze and debug logs for TEST simulators and systems under TEST during the TEST cycle.
Suggest improvements and changes to the product to improve customer experience.
Requirements:
Bachelors or Masters degree in Electrical/Electronic/Communications/ Computer Science Engineering.
10+ years of relevant professional experience, 5+ years of managerial experience
Good understanding of architecture, call flows, and design for testing 5G access technologies.
Thorough understanding of 3GPP standards covering PHY, MAC, PDCP, RLC, RRC, S1AP, and X2AP protocols.
Experience with LAN/WAN, WLAN, Routing protocols L2 and L3, TCP/IP, QoS.
Knowledge of Linux / Unix -based platforms.
Knowledge of handling and troubleshooting User Equipment (Mobiles), SIM installation, etc.
Good understanding of virtualization platforms like VMware, KVM, etc.
Experience in using TEST management and bug-tracking tools (Ex: JIRA).
Nice to have:
Knowledge of OpenStack, Docker, and Kubernetes technologies.
This position is open to all candidates.
 
Show more...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7703747
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
לפני 6 שעות
מיקום המשרה: מעלות תרשיחא
לחברה תעשייתית במעלות תרשיחא דרוש/ה פנסיונר מהתעשיות הביטחוניות לחצי משרה.
- ידע טכני נרחב
- עדיפות למהנדס חשמל/מכונות
- יכולת להניע עובדים
- יכולת התבטאות בעל פה ובכתב
דרישות:
המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7702569
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
לפני 6 שעות
בזק
דרושים בבזק
מיקום המשרה: קרית אתא
סוג משרה: משרה מלאה
טכנאי/ת גנרטורים ומערכות כוח במחלקת ליבה צפון
תיאור התפקיד:
התקנה, אחזקה וטיפול מונע בגנרטורים.
התקנה, הפעלה ואחזקה של מערכות כוח.
הפעלה אחזקה וטיפול במערכות מיזוג.
אחזקת מנע במערכות כוח בארונות רחוב.
פעילות בחדרי אגריגציה / ארונות רחוב / נישות וחדרי לקוח.

* מקום העבודה: צומת קרית אתא
דרישות:
כישורים נדרשים:
יכולת עבודה בתנאי לחץ וניהול משימות מרובות בו-זמנית.
הובלה,יוזמה ויכולת תכנון וראיה מערכתית בביצוע משימות.
יכולת למידה גבוהה תוך כדי ביצוע משימות.
גמישות מחשבתית וחשיבה יצירתית.
תודעת שירות מצוינת.
יכולת עבודה בצוות.
תקשורת בינאישית גבוהה.

ידע וניסיון:
תעודת חשמלאי/ת מוסמך בתוקף
הכשרות וניסיון במכונאות תפעול ואחזקת גנרטורים
הכרה ושליטה במערכות חשמל ומיזוג
הכרה ושליטה במערכות כוח
יכולת עבודה פיזית בשטח ובחדרים
תעודת הנדסאי/ת/ מהנדס/ת חשמל
זמינות 24/7
ניידות רישיון נהיגה חובה
* המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7656807
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
לפני 7 שעות
תנובה
דרושים בתנובה
מיקום המשרה: תל יוסף
סוג משרה: משרה מלאה
במחלבת תל יוסף אנו מפתחים ומייצרים את המותג המשפחתי המוכר והאהוב על כולנו - גבינה צהובה "עמק",
לצד גבינות מוכרות וטעימות לא פחות - פרומעז, קשקבל, רוקפור, פרמזן ועוד.
זו ההזדמנות שלך להצטרף למחלבה חדשנית, טכנולוגית, חמה ומשפחתית ולהשתלב במשרה ניהולית, משמעותית ומאתגרת!

התפקיד כולל:
ניהול פעילות האחזקה וההנדסה בתחום, לרבות: לתכנון, ביצוע, פיקוח ובקרה, תוך עמידה בתוכנית העבודה והיעדים כפי שנקבעו ע"י הנהלת המחלבה.
תכנון ויישום מדיניות האחזקה בתחומי אחזקת שבר ואחזקה מונעת.
ניהול פרויקטים בתחומי האחזקה.
ניהול צוות עובדים, כולל: קליטת עובדים, תהליכי הכשרה והסמכה, תהליכי פיתוח וניוד.
קיום שגרות ניהול וממשקי עבודה עם בעלי תפקידים אחרים במחלבה ומחוצה לה.
אחריות על פעילות קבלנים באופן שוטף ובפרויקטים ייחודים.
דרישות:
תואר ראשון בהנדסת מכונות או חשמל.
ניסיון של שנה לפחות בניהול צוות אחזקה במפעל תהליכי - חובה. ניסיון ממפעל מזון - יתרון משמעותי.
ידיעת אנגלית (קריאה וכתיבה) ברמה טובה.
שליטה מלאה בסביבת עבודה ממוחשבת, לרבות יישומי Office. המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7667159
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
משרה בלעדית
2 ימים
קורן טק טכנולוגיות
דרושים בקורן טק טכנולוגיות
מיקום המשרה: יזרעאל ויקנעם עילית
סוג משרה: משרה מלאה ופרילנס
התפקיד כולל קביעת מדיניות ואסטרטגיית הבדיקות אימות והוכחה של המוצר (מערכת משולבת תוכנה חומרה )
הגדרת נהלי בדיקות, שיטות ניתוח ובדיקות אימות והוכחה לעיצוב.
שיתוף פעולה הדוק עם מהנדסי מערכת ו-R&D במהלך תהליך הפיתוח, כולל פעילויות שילוב.
דרישות:
תואר בהנדסה חשמל/ תוכנה /מכאניקה או תואר הנדסי רלוונטי אחר.
5+ שנות ניסיון כמהנדס/ת בדיקות מערכת.
ניסיון במערכות רב-תחומיות מורכבות טכנולוגית, לרבות חומרה, תוכנה, ענן, אופטיקה ובינה מלאכותית.
ידע וניסיון חזקים בשיטות בדיקה אוטומטיות וידניות של מערכות רב-תחומיות מורכבות.
ניסיון בהובלת פיתוח ציוד בדיקה - יתרון.
יכולת ריבוי משימות ועדיפויות. המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7585374
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
קורן טק טכנולוגיות
דרושים בקורן טק טכנולוגיות
סוג משרה: משרה מלאה
לחברה רפואית באזור הצפון דרוש/ה מהנדס/ת אלקטרוניקה בכיר/ה עם רקע ביחידות מתח גבוה, יחידות חלוקת כוח גבוהות ותכנון ובדיקה עבור EMC/EMI
דרישות:
- תואר ראשון בהנדסת חשמל- חובה
- ניסיון של 10 שנים כמהנדס/ת אלקטרוניקה- חובה
- ניסיון וידע מעמיק עם EMC/EMI ותקני בטיחות-חובה
- ניסיון בתכנון יחידות חלוקת כוח גבוהות ויחידות אספקת חשמל.
- היכרות עם רגולציה רפואית/צבאית- יתרון המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7622461
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
משרה בלעדית
1 ימים
קבוצת נישה
דרושים בקבוצת נישה
סוג משרה: משרה מלאה
לתאגיד בינלאומי יציב המתמחה בפיתוח וייצור רכיבים אלקטרוניים ומוצרים בתחומי ה RF ו Microwave באיזור הצפון דרוש RF Lead להובלת פיתוח mixed signal ואחריות למחזור חיי מלא של המוצר החל מרעיון, בדיקות סכמטיות, בדיקות סופיות ועד העברתו לייצור המוני.
דרישות:
ניסיון מינימלי של 8 שנים בתכנון RF ו Microwave הכולל:
ניסיון מעשי משמעותי בפיתוח קומפוננטות
ניסיון מעשי משמעותי ב- high-frequency mixed-signal board design (מעל 20 גיגה-הרץ - יתרון)
התמחות בסימולציות RF : דו-ממ ADS או דומה, ותלת-ממד HFSS או CST.
ניסיון בשרשראות שידור וקליטה
ניסיון בציוד בדיקה דוגמת VNA, spectrum analyzer, power meters
ניסיון בחומרה דיגיטלית דוגמת מיקרו-בקרים ו-FPGAs - יתרון
תואר ראשון בהנדסת חשמל (תואר שני - יתרון) המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7681651
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
משרה בלעדית
2 ימים
Job-Time
דרושים בJob-Time
מיקום המשרה: מספר מקומות
סוג משרה: משרה מלאה
לחברת הנדסה מובילה דרוש/ה מהנדס /ת ביצוע חשמל

במסגרת התפקיד:
ליווי צמוד של הקמת פרויקטים תוך פיקוח ועבודה מול קבלני משנה, ניהול צוות באתר, חישובי כמויות, ידע בשרטוטי חשמל, חוק החשמל ומפרטים טכניים.
העבודה במשרה מלאה, פונה כמובן לשני המינים כאחד.
דרישות:
השכלה- תואר בהנדסת חשמל- חובה
רישיון חשמל ראשי ומעלה, עדיפות לרישיון חשמלאי מהנדס
ניסיון של 3 שנים ומעלה בתחום הבנייה, התשתיות והקמת פרויקטים + ניסיון בהקמת מערכות חשמל מתח גבוה ונמוך- חובה! המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7642293
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
2 ימים
מיקום המשרה: עפולה
סוג משרה: משרה מלאה
בדיקות חומרה ותכנה לכרטיסים אלקטרונים
תחזוקה שוטפת של מערכות בקרה,מבדקי ייצור ואיסוף נתונים.
תמיכה שוטפת לרצפת הייצור ופתרון תקלות.
אפיון תכנה לבקרי Embedded
כתיבת מסמכי תכן והגדרות פרוייקטים
פיתוח וכתיבת תכנה לבקרים בשפות שונות
דרישות:
השכלה מהנדס חשמל ואלקטרוניקה
ידע ויכולת קריאת תכניות ושרטוטי חשמל
ידע בכתיבת מסמכי אפיון,וולידציות למערכות בקרה.
ניסיון בעבודה עם פרוטוקולי תקשורת קווית ואלחוטית לאוטומציה Modbus.
ידע באפיון אפליקציות, קישורים לענן ו IOT.
ידע וניסיון עם רשתות תקשורת יתרון
היכרות עם כלי בקרת תצורה ולוגיסטיקה ( SAP ) - יתרון
יכולת למידה גבוהה
יכולת עבודה תחת לחץ
כושר ביטוי גבוה בכתב ובע"פ (עברית/אנגלית)
יכולת לעבודה בצוות ומול ממשקים
ניסיון במערכות דומות חובה. המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7588934
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
2 ימים
אלביט מערכות
דרושים באלביט מערכות
מיקום המשרה: מספר מקומות
סוג משרה: משרה מלאה
לאתר החברה במת"ם בחיפה, דרוש/ה מהנדס פיתוח חומרת צב"ד / תשתיות חומרה
אנשי התחום עוסקים בפיתוח מערכות בדיקה ותשתיות חומרה למוצרים ומערכות בכלי טייס
מהנדס פיתוח מערכות הבדיקה / תשתיות חומרה שותף מתחילת תהליך הפיתוח המוצרים ומוביל את הפעילות דרך כלל השלבים: אפיון וניתוח דרישות, תכן חומרה וסקרי תיכון, שלבי היישום והאינטגרציה ועד למסירת המערכת ללקוח הסופי

במסגרת התפקיד:
ניתוח דרישות וגזירת משמעויות בהיבטי מימוש
הובלת אפיון, הגדרת קונספט, תכן מפורט ומימוש מערך הבדיקה בהיבט החומרה
ביצוע סקרים מול הלקוחות (גם באנגלית)
כתיבת מסמכי דרישות, מימוש, כיול ופרוצדורות הפעלה באנגלית
התפקיד מחייב עבודה עם ממשקים רבים בארץ ובחו"ל לאורך כל שלבי הפרויקט
דרישות:
מהנדס/ת או הנדסאי/ת חשמל ואלקטרוניקה עם ניסיון מעשי מוכח בתכן חומרה או מערכת חובה
ניסיון בפיתוח ציוד בדיקה אוטומטי יתרון
ניסון פיתוח בחום בדיקות אלקטרו-אופטיות יתרון
שירות צבאי בתפקיד טכני ביחידת בדרג ד' - יתרון
יכולת ניהול ממשקים מולטי דיסציפלינאריים בארץ ובחו"ל
יכולת הובלת מספר משימות באופן עצמאי
יכולת לימוד עצמית גבוהה
יכולת עבודה בצוות
יכולת הובלת פרויקטים וניהול קבלני משנה
יכולת הצגה וכתיבת מסמכים באנגלית

*הפניה מיועדת לנשים וגברים כאחד
**רק פניות מתאימות יענו המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7695833
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
משרה בלעדית
2 ימים
טורקיז השמה בדרך האימון
דרושים בטורקיז השמה בדרך האימון
לחברת הייטק בצפון דרוש /ה מנהל /ת מו"פ, כפיפות למנכ"ל.
אחריות כוללת על אסטרטגיית המו"פ של החברה, הפרוייקטים, צוותי המו"פ והחדשנות.
מערכות משולבות הכוללות חומרה, תוכנה, אלקטרוניקה, אלגוריתמיקה, אלקטרו-אופטיקה, חשמל, AI.
# אופציה ליום עבודה אחד מהבית
דרישות:
תואר ראשון בהנדסת חשמל ואלקטרוניקה
תואר שני בהנדסת מערכת - יתרון
ניסיון ניהולי ומקצועי של 3 שנים לפחות בניהול צוות מו"פ מולטי דיסיפלינרי.
ניסיון של 4 שנים לפחות כמהנדס/ת מערכת/ מנהל /ת טכני.
ידע וניסיון בתחום אלקטרואופטיקה - חובה
ניסיון בגיבוש תוכניות פיתוח רב שנתיות מעקב על עמידה ביעדים ובלו"ז
ידע וניסיון בעבודה מול קב"מ - הגדרת דרישות ובקרה על ביצוע
שליטה בשפה האנגלית ברמה גבוהה
יכולת גבוהה לניהול והובלת צוות
ראייה מערכתית רחבה המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7695736
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
2 ימים
אקסייט ישראל פרויקטים בע"מ
דרושים באקסייט ישראל פרויקטים בע"מ
מיקום המשרה: כרמיאל
סוג משרה: משרה מלאה
לחברת אקסייט, חברה גלובלית העוסקת בהנדסה- תכנון וביצוע דרוש /ה מהנדס /ת ביצוע חשמל.
התפקיד כולל ליווי צמוד של הקמת פרויקטים תוך פיקוח ועבודה מול קבלני משנה, ניהול צוות באתר, חישובי כמויות, ידע בשרטוטי חשמל, חוק החשמל ומפרטים טכניים.
מיקום- צפון, כרמיאל.
דרישות:
השכלה- תואר בהנדסת חשמל
רישיון- בעל רישיון חשמל ראשי ומעלה, עדיפות לרישיון חשמלאי מהנדס
ניסיון- בעל ניסיון של 3 שנים ומעלה בתחום הבנייה,התשתיות והקמת פרויקטים + ניסיון בהקמת מערכות חשמל מתח גבוה ונמוך
נכונות לעבודה מאומצת, יכולות ניהול גבוהות ויחסי אנוש מצוינים

* המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7642263
סגור
שירות זה פתוח ללקוחות VIP בלבד
לוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
11/04/2024
מיקום המשרה:צפון
סוג משרה: משרה מלאה
לחברה המפתחת ומייצרת גלאים לראיית לילה וממוקמת במשגב דרוש/ה מנהל /ת פרוייקט בפיתוח תחום גלאים לא מקוררים.
הגדרת התפקיד:
ניהול פרויקט פיתוח מתחילתו ועד סופו, תוך עמידה בלוחות זמנים, תקציב ומפרט איכות, כולל קביעת עדיפויות, פתרון בעיות טכניות וניהוליות
הנדסת מערכת של המוצר המפותח, בכלל זה הגדרת דרישות, אישור מפרטים וקבלת החלטות טכניות
הגדרת מפרט המוצר ותכולות הפרויקט, תוך שיתוף פעולה עם מנהל התכנית, הלקוח וקבוצות מקצועיות באגף המופ.
קשר טכני שוטף עם הלקוח לאורך הפרויקט בתיאום עם מנהל התכנית
ניהול מטריציוני של צוות עבודה מקצועי.
גיבוש תכנית עבודה מפורטת (גאנט), כולל ניהול סיכונים, מול תקציב ולוז מאושרים
ניהול תכנית עבודה מפורטת, העבודה מהתנעת הפרויקט ועד העברה לייצור, בתיאום עם הקבוצות המקצועיות.
ניהול פיננסי שוטף של הפרויקט ודיווח שוטף להנהלה.
דרישות:
B.Scבהנדסת חומרים/כימיה/פיזיקה/חשמל חובה
M.Sc/MB- יהווה יתרון משמעותי
5 שנות ניסיון לפחות, בפיתוח תהליכי Semiconductors/ גלאים/מוצרים מולטידיספלינאריים -חובה
ניסיון של 5 שנים בניהול פרוייקטי פיתוח
ניסיון בניהול תקציב, לוז, גאנטים, עבודה מול ממשקים חובה
יכולת ייצוגית
אנגלית ברמה גבוהה כתיבה, שיחה המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
7689250
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
פורסם ע"י המעסיק
09/04/2024
Location: Yokne'Am Illit
Job Type: Full Time
We are seeking a highly skilled R&D Systems Engineer who technical expertise to implement strategies, evaluate products, and build upon an infrastructure that supports the changing needs of our customers. In this role, you will lead the design, development, and integration of complex, cutting-edge robotic systems.
Responsibilities:
* Lead the design and development of multidisciplinary systems, encompassing mechanical, electrical, and software components.
* Create detailed design documents such as system architecture diagrams, schematics, and technical specifications.
* Collaborate closely with product teams to understand customer needs and translate them into technical requirements for robotic system design.
* Work collaboratively with cross-functional teams including Quality Control (QC), New Product Introduction (NPI), Software Engineering, Hardware Engineering, Mechanical Engineering throughout the system development process.
* Lead the integration phases by coordinating efforts across different teams involved in hardware integration.
* Develop control algorithms to enable precise movement and manipulation of robots; implement these algorithms in software.
* Collaborate with CTO teams to ensure compliance with company-wide technology standards and guidelines during the entire development process.
* Test and validate performance of robotic systems; collaborate with QC team on testing activities.
* Troubleshoot technical issues that arise during the development process or in deployed robotic systems.
Requirements:
Requirements:
* Bachelor's degree in Electrical Engineering or related field.
* Minimum 5 years proven experience working as a Systems Engineer in multidisciplinary systems design.
* Experience in circuit board design for development purposes.
* Hands-on experience with prototyping electromechanical systems.
* Excellent problem-solving skills; ability to work independently as well as within a team environment.
* Strong interpersonal skills to effectively communicate complex technical concepts across different departments within the organization.
* Proficiency in sensor technologies, motors and control systems required
* Knowledge of robot kinematics/dynamics principles is advantageous
* Experience in IoT embedded systems is advantageous
* High level communication skills in English (oral & written) required
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
7685082
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
02/04/2024
מיקום המשרה:צפון
סוג משרה: משרה מלאה
דרוש/ה מהנדס/ת מערכת שילובים
הצטרפו אלינו לתפקיד מגוון המשלב תכן מערכתי, הובלה וביצוע ניסויים של מערכות הנמצאות בחזית הטכנולוגיה, המקנה בסיס להתפתחות עתידית בתפקידי מערכת נוספים ומאפשר את השלב הבא בקריירה.
דרישות:
תואר ראשון בהנדסת חשמל ואלקטרוניקה.
3 שנות נסיון מוכח בפיתוח חומרה / הנדסת מערכת.
נסיון בהובלה וקידום של תהליכים והובלת צוות.
ניסיון בעבודה עם מערכות צבאיות ברמת מערכת.
נסיון בכתיבת מפרטים ומסמכי תכן בתכנון וביצוע תהליכי שילובים מולטי דיסציפלינאריים- יתרון. המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
7677150
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
01/04/2024
Location: Yokne`am
Job Type: Full Time
Our team in Yokneam, Israel is looking for a Senior Electrical Validation engineer to join the Hardware Qualification Team. The team leads the development of next-generation Network Adapters for high-speed communication products. Our Networking division is a leading supplier of innovative end-to-end InfiniBand and Ethernet connectivity solutions and services for servers and storage. We offer market-leading solutions that include adapter cards, switches, cables, and software to support networking technologies.

We are looking for a Senior Hardware Validation Engineer to lead validation activities in the Datacenter Systems Engineering team. You'll work closely with validation engineers, board designers, silicon characterization, and AE teams to ensure product validation and test coverage are optimal. The ideal candidate is self motivated, works well with different teams, very comfortable in a lab environment and demonstrates passion towards product level validation. They should have strong debug/circuit analysis fundamentals as well as automation and scripting experience. They must be capable of thriving in fast paced environment with evolving product definitions.

What you'll be doing:
Senior Electrical Validation Engineer in the HW Qualification team.
Electrical and functional validation DC/DC regulators and power monitoring circuitry, and interfaces such as I2C, SPI, SMBUS, PCIe, and USB.
Collaborate with multi-functional teams including designers, Silicon, SW, thermal leads to debug any HW/SW product issues.
Design diagnostic suites test plans for engineering builds.
Provide schematic and layout reviews on next generation board designs.
Requirements:
What we need to see:
B.Sc. in Electrical Engineering, or equivalent.
5+ years of practical experience.
Validation experience with board bring up, lab debug and lab tools (oscilloscopes, multimeters, logic analyzers).
Programming skills with experience in Python or other scripting languages (such as Perl, Shell).
Experience with hardware validation.
Excellent design, debugging and problem-solving skills, with a strong bias for action, quality and engineering excellence.

Ways to stand out from the crowd:
Experience in HW design to Mass Production.
Knowledge of analog and digital electrical circuits, system-level understanding.
Background in board design: schematics, layout, thermal design, mechanical design, PCB fabrication, product qualification, and lab experience.
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
7675469
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
01/04/2024
Location: Ra'anana and Yokne`am
Job Type: Full Time
Our Hardware teams in Yokneam and Raanana, Israel are looking for a leader dedicated to critical HW/System level problem solving and enhancements. The Hardware teams lead the development of next-generation Network Adapters and switches for high-speed communication products. Our Networking division is a leading supplier of innovative end-to-end InfiniBand and Ethernet connectivity solutions and services for servers and storage, focusing on datacenter-level optimized solutions for AI. We offer market-leading solutions that include adapter cards, switches, cables, and software to support networking technologies. Our products optimize data center performance and deliver industry-leading bandwidth and scalability. In addition, we serve a wide range of sectors including high-performance computing, enterprise, data centers, cloud computing, and Web 2.0. We are constantly reinventing ourselves to stay ahead of the market and bring groundbreaking products and services to the industry. Our product line focuses on delivering the most optimized Ethernet solutions for industries like Media and Entertainment as well as any other industry that can benefit from our Datastream and TCP/IP acceleration.

What you'll be doing:
Lead and own major HW/system level problem solving and design enhancements activities of existing products.
Build a new team - define new roles and recruit new employees.
Collaborate closely with quality, qualification, and design (both software and hardware) teams across the company.
Perform hands-on debug and testing in a lab environment.
Requirements:
What we need to see:
B.Sc./M.Sc. in Electrical Engineering or equivalent experience.
12+ overall years of practical experience.
3+ years of Team-Leading experience.
Hands-on lab skills with experience in major debugs.
Experience in leading multi-disciplinary projects, and with electrical schematics and CAD tools.
Proficiency in PCB design and technologies.
Strong background in Analog design.
Personal qualities including project management skills, independence, and excellent interpersonal relationships.

Ways to stand out from the crowd:
Experience in leading cross-organizational major debugs.
Knowledge of high-speed design (25Gb/s and above), as well as analog and digital circuits with a system-level understanding.
Background in board design including schematics, layout, thermal design, mechanical design, PCB fabrication, product qualification, and lab experience.
Experience with small HW design team leadership.
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
7675468
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
01/04/2024
Location: Yokne`am
Job Type: Full Time
We are seeking an Architect or a talented SW Engineer who wishes to move into an architect position. A person with a good system understanding and fully hands-on skills who want to be part of the technology seeding phase. In this position, you will invent, run proof of concepts, and write specifications for the engineering groups. Come and help us lead the next-generation data center technology!

What you'll be doing:

Learn our architecture with a focus on the technology that we drive.

Optimize AI/ML model training time at large scale.

Code and build proof-of-concept prototypes.

Design and define protocols and APIs for leveraging our technology in a data center.

Research and evaluate algorithms currently used in related applications.

Participate in defining hardware and system features, and assist software and hardware groups in enabling new technologies.
Requirements:
What we need to see:

B.Sc./M.Sc. or equivalent experience in Electrical Engineering or Computer Science from a leading university.

3-5 years of proven experience in the industry, specifically in SW engineering, distributed AI system training.

Familiarity with networking concepts, terms, and software stack.

Passion for problem-solving and algorithms research and development.

Background in distributed AI/ML models training on GPUs clusters.

Ways to stand out from the crowd:

Background in data center architecture.

Experience with Collective Communications Library such as NCCL.

good understanding of OS, driver and performance aspects of a system.

Background in network synchronization protocols such as IEEE 1588 PTP

Good command of Python, C/C++
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
7675463
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
01/04/2024
Location: Yokne`am
Job Type: Full Time
Our Product Engineering group is looking for a well experienced Test architect to join our family and be a part of System Product Engineering. The System Product Engineering team is responsible to assure that NVIDIAs customers will get the best state of the art high-quality products using the below:

Development and deployment of automatic testers for NVIDIAs network business units (Computing network adapters and High-speed switch systems) including hardware and software
Analyzing and monitoring the manufacturing data and find / prevent quality issues based on big data using Machine learning, statistical tools, and AI (artificial intelligence)
Leading smooth production and capacity enlargement
Failure analysis of return material from customers to understand the reason of failures.
Validate the test performance and stability using QA (V&V validation and verification) methods
The teams are involving and part of the full product lifecycle from architecture definition trough development till the product will be EOL (end of life). As part of the effort mentioned above, we are looking for an Electronic Engineer to join the System Design Testing Architecture team and lead Systems HW testability definition for NVIDIAs Networking systems.

What youll be doing:
Leading optimal products testing definitions includes: HW, SW, FW, Mechanical fixtures, and cables/harnesses for customized production testing set ups.
Making pitches in front of large audience a new product testing architecture, DFT and testing method while providing justifications for the testing concept.
Responsible for product testing maximum coverage, Testing specification documents and production test flow process for each system
Working with Companys engineering team during the developing process of the product from pre-design trough the design and influence on the product design from DFT design for testability aspects.
Supporting production ramp up and scalability, lead and fast responding time for ECR/ECO implications.
Examine New Technologies, testing capabilities and their implementation for the next generation products.
Ensure testing set ups and coverage are met the DFT requirements and timetable.
Lead DFT Risk assessment and drive mitigations to reduce the risks.
Working closely with variety of teams: R&D, HW and SW, Chip design, Operations, thermal, signal integrity, FW and SW, layout, and more.
The position combines understanding of HW, SW, system manufacturing processes.
Requirements:
What we need to see:
BSc, MSc Electrical Engineering.
Integration capabilities of self-task management, vast electronic knowledge, production and testing process knowhow.
Background in working with contract manufacturers and suppliers.
Minimum 4 years of experience as a DFT engineer at chip/system level, as Board Design engineer or as system architect.
Management and follow up till completion of multi-functional and personal tasks.
Personal skills: proactive and self-instruction, good interpersonal relationship, multitasking, well organized and a quick learner.
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
7675393
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
01/04/2024
Location: Yokne`am
Job Type: Full Time
We are looking for a creative and independent Experienced ICT Test Engineer.

We offers you to be a part of the System Product Engineering team and be responsible for assuring the best quality products to be a sale and deliver to our customers. The job provides deep knowledge of our systems, a system-level view of our solutions, and a dynamic and positive working environment and offers the candidates the opportunity to get unique desired skill in ICT/JTAG testability and be an expert in this field. Our Networking unit has continuously reinvented itself over two decades. Our high-speed buses & network products are leading in the markets with innovative ways to improve speed and bandwidth from one generation to another.

Today, we are increasingly known as the place for getting End-to-End High-Speed Ethernet and InfiniBand Solutions We're looking to grow our company and build our teams with smart people who can join us at the forefront of technological advancement. If you are passionate about enabling the highest quality Network products that will change the world, we want to hear from you!

What you'll be doing:
Develop ICT and tests for our products.
DFT review various product designs and change them to be able to be tested with maximum coverage.
Debug and troubleshoot during design with innovative solutions and out-of-the-box thinking.
Requirements:
What we need to see:
B.Sc. degree in Electrical Engineering or an Electrical Practical Engineer, or equivalent experience.
3+ years of experience in one of the following fields: hardware design, hardware validation, or ICT test design (advantage).
Good communication skills with diverse teams and functional groups.
Multi-tasking capabilities.
High self-learning skills.
High execution quality standards.
An innovative approach to problem solution.
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
7675388
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
01/04/2024
Location: Yokne`am
Job Type: Full Time
We are looking for an excellent Verification and Validation System Product Engineer! Our Networking division is a leading supplier of innovative end-to-end InfiniBand and Ethernet connectivity solutions and services for servers and storage. We offer market-leading solutions that include adapter cards, switches, cables, and software to support networking technologies. Our products optimize data Center performance and deliver industry-leading bandwidth and scalability. In addition, we serve a wide range of markets including high performance computing, enterprise, data centers, cloud computing, big data, and Web 2.0. We are constantly reinventing ourselves to stay ahead of the market and bring groundbreaking products and services to the industry.

What you'll be doing:
Test Verification and Validation (both HW and SW).
Maintain products quality by improving test stability, coverage, design, and manufacturing process.
Execute tests in different scopes such: regression, performance degradation, functional, security; report the progress of testing and provide summary reports of the activity.
Troubleshooting and streamline/optimize our testing procedures.
Support production matters.
Requirements:
What we need to see:
BSc in electrical/computer Engineering or a related field with 5+ years of experience.
Experience in hardware/software validation.
Programming experience in one or more programming languages: Perl, Python, C, C++.
Strong automation/scripting skills.
Coding experience, Understand the large Python project code and derive unit test.
A good knowledge of simulation flow and test automation development.
Strong problem-solving ability and experience in product engineering/failure analysis and debug/ HW or test design.
Excellent interpersonal and communication skills in English.

Ways to stand out from the crowd:
Experience as a Verification Engineer.
Experience in high-speed electrical testing and Unit test.
Background with production manufacturing flows.
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
7675384
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
01/04/2024
Location: Yokne`am
Job Type: Full Time
We are looking for a creative and independent experienced JTAG Test Engineer. We offer you to be a part of the System Product Engineering team and be responsible for assuring the best quality products to be a sale and deliver to our customers. The job provides deep knowledge of our systems, a system-level view of our solutions, and a dynamic and positive working environment and offers the candidates the opportunity to get unique desired skill in JTAG testability and be an expert in this field. Our Networking unit has continuously reinvented itself over two decades. Our high-speed buses & network products are leading in the markets with innovative ways to improve speed and bandwidth from one generation to another.

Today, we are increasingly known as the place for getting End-to-End High-Speed Ethernet and InfiniBand Solutions We're looking to grow our company and build our teams with smart people who can join us at the forefront of technological advancement. If you are passionate about enabling the highest quality Network products that will change the world, we want to hear from you!

What you'll be doing:

Develop JTAG tests for our products.

DFT review various product designs and change them to be able to be tested with maximum coverage.

Debug and troubleshoot during design with innovative solutions and out-of-the-box thinking.
Requirements:
What we need to see:

B.Sc. degree in Electrical Engineering or an Electrical Practical Engineer, or equivalent experience.

3+ years of experience in one of the following fields: hardware design, hardware validation, or JTAG test design (advantage).

Good communication skills with diverse teams and functional groups.

Multi-tasking capabilities.

High self-learning skills.

High execution quality standards.

An innovative approach to problem solution.
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
7675382
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
01/04/2024
Location: Yokne`am
Job Type: Full Time
We are looking for a strong senior engineer with high capabilities in the electrical failure analysis field, which requires various capabilities such as silicon debug, IC testing and hands on top edge EFA technologies (emission, LVT, IREM, LADA etc), to be able to lead and execute challenging electrical failure analysis in all our networking ICs. Purpose of this position is root causing silicon unique and abnormal failures/behaviors, which will result with finding real silicon defects, chip design marginalities, identifying process issues and many more..

As the EFA engineer you will be hands on with top edge failure analysis Technlogies, both on ATE and system platforms; you will perform integration of all electrical and mechanical aspects of each case brought to EFA lab such as chip design, physical design, IC test, DFT features, localization of ATPG/BISTs, silicon debug , EFA tools. You will need strong debug and localization skills will good familiarity with modern techniques in EFA will be great advantage.

If you answer to above, please join our exciting journey.

What you will be doing:

Work closely with internal design teams to define requirements (DFT) to support Si debug.

Define the required EFA workflows for various cases (Yield, Qualification, Customer Returns).

Define, drive development, and enable all HW, SW and thermal infrastructure to allow usage of various analytical and fault isolation and analysis tools.

Drive root causing of yield issues, qualification failures, customer issues or any event that requires investigation and analytical techniques to debug and root cause failing Silicon.
Requirements:
What we needs to see:

BSc/MSc/PhD degree in Electrical/Computer Engineering or Physics.

5+ years of experience in hands-on debug in the EFA domain.

Good understanding of VLSI circuit design, and/or device physics, and/or process engineering.

Familiarity with ATE Test Programs, and ability to adopt Test Patterns per debug needs.

Computer programming experience and at least basic Python and Unix skills an advantage.

Statistical/data analysis experience (Matlab, JMP, etc.) an advantage.

Ability to work independently, and lead cross-functional teams.

Strong sense of ownership, commitment, and responsibility.

Good interpersonal communication skills.
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
7675376
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
01/04/2024
Location: Tel Aviv-Yafo and Yokne`am
Job Type: Full Time
We are looking for best-in-class Physical Design Engineers to join our outstanding Networking Silicon engineering team, developing the industry's best high-speed communication devices, delivering the highest throughput and lowest latency! Come and take a part in designing our groundbreaking and innovating chips, enjoy working in a meaningful, growing and highly professional environment where you make a significant impact in a technology-focused company.

What you'll be doing:

Physical design of blocks according to specifications under challenging constraints targeting for the best power, area, and performance.

Be exposed and work on a variety of challenging designs (including high cell count and HS blocks). Resolving complex timing and congestion problems.

Daily work involves all aspects of physical design chip development (RTL2GDS) - synthesis, power and clock distribution, place and route, timing closure, power and noise analysis, and physical verification.

Taking part inflows development.
Requirements:
What we need to see:

B.SC./ M.SC. in Electrical Engineering/Computer Engineering or equivalent work experience.

0-5 years of experience in Physical Design.

Proven experience in RTL2GDS flows and methodologies.

Knowledge in physical design flows and methodologies (PNR, STA, physical verification).

Deep understanding of all aspects of Physical construction and Integration.

Knowledge in Physical Design Verification methodology LVS/DRC.

Familiarity with physical design EDA tools (such as Synopsys, Cadence, etc.).

Great teammate.
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
7675363
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
01/04/2024
Location: Tel Aviv-Yafo and Yokne`am
Job Type: Full Time
We are looking for a Senior Chip Design Verification Engineer for developing the next generation DFT technologies.

As a Senior Chip Design Verification Engineer in the DFT team, you will verify the design and implementation of our DFT technologies in various projects. This position offers the opportunity to have real impact in a dynamic, technology-focused company impacting Switches, Nic and SoC product lines. We are working closely with a wide range of aspects - chip design, backend, verification and production testing. We are working on the most advanced technologies and complex products. Our DFT solutions are unique, innovative, and we are continuously looking for new and creative solutions to meet the challenging goals.

What you'll be doing:

In this position, you will be responsible for verification of the DFT design, architecture and micro-architecture using sophisticated verification methodologies.

As a member of our DFT verification team, you'll understand the design & implementation, define the verification scope, develop the verification infrastructure (Testbenches, BFMs, Checkers, Monitors), execute test/coverage plans, and verify the correctness of the design.

Collaborate with architects, designers, emulation, production testing and silicon verification teams to accomplish your tasks.
Requirements:
What we need to see:

BSc. in Electrical Engineering or Computer engineering, or equivalent experience.

5+ years of practical verification experience.

Experience in developing verification environments and random based verification for unit level and system level using verification tools (simulation tools, Verilog, debug tools like Simvision/Debussy).

Experience with Specman is a plus.

Good understanding of RTL design (Verilog).

Strong debugging, problem solving and analytical skills.

Excellent communication and social skills.

Ability to work in a geographically diverse team environment.

Self motivated, independent and target oriented.
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
7675298
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
01/04/2024
Location: Yokne`am and Migdal Haemek
Job Type: Full Time
Our Networking division is a leading supplier of innovative end-to-end InfiniBand and Ethernet connectivity solutions and services for servers and storage. We offer market-leading solutions that include adapter cards, switches, cables and software to support networking technologies. Our products optimize data center performance and deliver industry-leading bandwidth and scalability. In addition, we serve a wide range of markets including high performance computing, enterprise, data centers, cloud computing, big data and Web 2.0. We are constantly reinventing ourselves to stay ahead of the market and bring groundbreaking products and services to the industry.

What you'll be doing:

Onsite engineering support: technical ownership and support for tests issues, test infrastructures and tests setups.

The workplace is located at the Flextronics factory in Migdal Haemek, Israel.

Yield analysis monitoring and continues improvement for NPI production lines.

Troubleshooting and full root cause analysis for production matters.

Maintain products quality by improving test stability, coverage, design and manufacturing process.
Requirements:
What we need to see:

B.Sc. in Electrical Engineering / Practical Engineer.

4+ years of experience.

Experience in product engineering, failure analysis/debug or HW/test design.

Hands-on HW debug experience.

Strong problem-solving ability.

Excellent interpersonal and communication skills in English

Ways to stand out from the crowd:

Experience with data/yield analysis.

Background with test design and HW lab equipment.

Experience working with a manufacturing environment.

Basic programming knowledge (C, Perl, Python) in Linux environment.
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
7675249
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
01/04/2024
Location: More than one
Job Type: Full Time
Are you passionate about working on a team that is at the cutting and bleeding edge of hardware technology? Our Design-for-Test Engineering team works on groundbreaking innovations involving crafting creative solutions for DFT architecture, verification and post-silicon validation on some of the industry's most sophisticated semiconductor chips. We are looking for a DFT Engineer to join the ATPG team. The position includes taking part in development of the next generation DFT technologies and working closely with a wide range of our groups and aspects - chip design, backend, verification, and production testing.

Working on the most advanced technologies and complex products, our DFT solution are unique and innovative internal developments, and we are continuously improving and evolving the solution to meet the challenging goals. If you find groundbreaking Technologies, and next generation products interesting, then this is the team for you. Take opportunity to join our team for an exciting and educational environment, where every individual has significant contribution to our products and achievements!

What youll be doing:

You will be in charge of state of the art Design for Test/ATPG flows and implementation.

Take ATPG ownership on different DFT aspects of a project, Arch & planning, pattern generation, verification and post Silicon bring up and diagnosis.

Inventing and maintaining automation flows that provide the short test time to production.
Requirements:
What we need to see:

B.Sc. in Electrical Engineering or Computer engineering or equivalent experience.

Strong programming skills in scripting languages.

Quick learner, proactive and self-motivated, eager to learn and contribute, sense or ownership, commitment, and responsibility.

Ways to stand out from the crowd:

Hands on DFT/ATPG knowledge & technical experience in DFT ASIC Design and in ATPG tools.

Knowledge of DFT including scan, MBIST, LBIST, on-chip scan compression, fault models, ATPG, and fault simulation.

Experience in Mentor TestKompress ATPG tool and retargeting flow.

Programming languages: TCL, PRL, Phyton & Unix shell scripts.

Experience with ATE and Silicon bring-up.
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
7675225
סגור
שירות זה פתוח ללקוחות VIP בלבד
משרות שנמחקו