משרות על המפה
 
בדיקת קורות חיים
VIP
הפוך ללקוח VIP
רגע, משהו חסר!
נשאר לך להשלים רק עוד פרט אחד:
 
שירות זה פתוח ללקוחות VIP בלבד
AllJObs VIP

חברות מובילות
כל המידע למציאת עבודה
קריירה בקאמבק : איך לחזור ובגדול
עזבתם מקום עבודה? שיניתם כיוון מקצועי? לפעמים ש...
קרא עוד >
כיצד להתבלט בישיבות עבודה?
נצלו את הפאנל המקצועי ודחפו את עצמכם קדימה – הפ...
קרא עוד >
לימודים
עומדים לרשותכם
מיין לפי: מיין לפי:
הכי חדש
הכי מתאים
הכי קרוב
טוען
סגור
לפי איזה ישוב תרצה שנמיין את התוצאות?
Geo Location Icon

משרות בלוח החם
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
משרה בלעדית
1 ימים
קבוצת אלקטרה
דרושים בקבוצת אלקטרה
סוג משרה: משרה מלאה
תנאים נוספים:רכב צמוד
הזדמנות נדירה להיות חלק מארגון בפיתוח ובצמיחה קבועים - הצטרפו ובנו איתנו את המחר
קבוצת אלקטרה מגייסת מכונאי/ת גנרטורים לפ.ק אלקטרה לאיזור ת"א והסביבה

תיאור התפקיד:
אחזקה מונעת ואחזקת שבר בתחום הגנרטורים, מכונאות, תיקון דיזלים, בדיקות חשמליות, עבודה רבה עם בקרים, הרכבת אביזרים בגנרטורים (מערכות כיבוי אש, גובה מים ועוד)
וכן עבודה עם פקודות עבודה.
מדובר בעבודה פיזית בשטח
ימי ושעות עבודה: א'-ה' בין השעות 7:00-16:15+ נכונות לכוננויות והקפצות 24/7.

מה אנחנו מציעים:
רכב חברה מהיום הראשון! קטנוע+רכב
ביטוח בריאות מורחב בעלות מסובסדת ע"ח המעסיק!
מתנות בימי הולדת, חגים, אירועים מיוחדים.
תנאים מצויינים למתאימים/ות.
דרישות:
ניסיון בעבודה פיזית טכנית- חובה!
רישיון חשמל- יתרון משמעותי
נכונות לעבודה בשעות לא שגרתיות כולל תורניות ועבודה בשבת במידת הצורך
רישיון נהיגה על קטנוע- יתרון משמעותי
ניסיון תעסוקתי בגנרטורים- יתרון משמעותי המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7966316
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
משרה בלעדית
1 ימים
קבוצת אלקטרה
דרושים בקבוצת אלקטרה
סוג משרה: משרה מלאה
בואו לעבוד במקום שבו ההצלחה שלכם/ן חשובה לנו!
חברה יציבה עם אופק קריירה שיעניק לך ביטחון ויציבות!
קבוצת אלקטרה מגייסת חווט/ת לוחות חשמל לפ.ק אלקטרה!

תיאור התפקיד:
פ.ק אלקטרה מחפשת חווט/ת לוחות פיקוד.
העבודה היא עצמאית ובנוסף לסיוע לחשמלאי.
ימי ושעות עבודה: א'-ה' בין השעות 7:00-16:15.
קריית שדה התעופה

מה אנחנו מציעים:

קניית מוצרי אלקטרה בהנחה
הזדמנות להשתלב בחברה יציבה המאמינה בפיתוח עובדים
ימי כיף וערבי גיבוש
דרישות:
ניסיון טכני קודם
ניסיון תעסוקתי בתחום - יתרון משמעותי!
השכלה רלוונטית בתחום- יתרון
רישיון חשמל- יתרון משמעותי
נכונות לשעות נוספות המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7966538
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
חניה מיכל רכזת גיוס
מיקום המשרה: יקנעם
סוג משרה: משרה מלאה
תיאור המשרה
לחברה יצרנית גלובלית ומובילה באזור יוקנעם דרוש/ה ראש/ת צוות אחזקה.

תיאור התפקיד:
ניהול אחזקה של מכונות ייצור, אריזה ותשתיות כולל אחריות כוללת לפתרון תקלות

ניהול צוות מכונאים ומסגרים

חונכות מכונאים חדשים, העברת ידע והדרכת עובדים במחלקות הייצור.

ייזום וביצוע שיפורים בקווי ייצור ותשתיות ע''פ הצורך

ערנות ומענה לצרכים משתנים של מנהלי המחלקות ברצפת הייצור

תכנון ואחריות לבניית מתקנים עבור מוצרים חדשים.

ידע טכני, ידע במערכות תהליך, ואקום, משאבות, פנאומטיקה, מכשור ובקרה, שרטוט טכני.

איכות, בטיחות ואיכות סביבה

הובלת MWG כחלק מתהליך מצוינות תפעולית באחזקה.
דרישות:
דרישות התפקיד:

השכלה- טכנאי/ת הנדסאי/ת מכונות/חשמל
5 שנות ניסיון במכונות ייצור ואריזה

הכרת מחשב בסיסית.

ידיעת אנגלית טכנית.

נכונות למשרה מלאה כולל שעות נוספות

נכונות לזמינות בשעות העבודה של המפעל (24/6)

נדרשת הגעה עצמאית
* משרה מיועדת לגברים ונשים כאחד המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
8040690
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
1 ימים
בית בלב
דרושים בבית בלב
מיקום המשרה: מספר מקומות
סוג משרה: משרה מלאה
לבית בלב נשר רשת מקבוצת מכבי שירותי בריאות דרוש/ה עובד/ת אחזקה עם תעודת חשמאלי/ת מוסמך/ת
תחזוקה שוטפת של המבנה ושטחי הציבור במוסד
תחזוקת תשתיות זיהוי תקלות תומכות במסגרת סיבוב יומי בכל רחבי הבית
ביצוע פעולות אחזקה במבנה לפי צרכי מנהלי/ות המחלקות והסקטורים
דרישות:
חשמלאי/ת מוסמך/ת חובה
בוגר/ת קורס מתח נמוך יתרון
משרה מלאה
זמינות לקריאות דחופות כולל בסופ"ש/ חגים המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
8040584
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
1 ימים
נובטק מערכות דיוק בע"מ
דרושים בנובטק מערכות דיוק בע"מ
מיקום המשרה: רחובות
סוג משרה: משרה מלאה
לחברה ותיקה בפארק המדע רחובות דרוש/ה מכשירן /ית למחלקת הרכבות
התפקיד כולל:
הרכבות מכאניות, אופטו-מכאניות ואלקטרוניות מדוייקות
ובדיקות APT ותנאי סביבה
דרישות:
הרכבות ע"פ תיקי ייצור/הוראות הרכבה.
ניסיון בהרכבות מכניות/אלקטרומכניות- יתרון.
טכנאי/ת/הנדסאי/ת מכונות/מכטרוניקה- יתרון משמעותי.

המשרה מיועדת לנשים ולגברים כאחד המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
8032818
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
ESR - השמה להייטק
דרושים בESR - השמה להייטק
סוג משרה: משרה מלאה
לחברה העוסק בתחום המדיקל בקיסריה דרוש/ה טכנאי/ת ייצור
תיאור המשרה: 
- הפעלה ותחזוקת מכונות
- עבודה בקו הייצור
דרישות:
- ניסיון טכני מעשי של 3 שנים לפחות- חובה
- ניסיון בעבודה עם מסמכים טכניים- חובה
- אנגלית ברמה טובה- חובה
- נכונות לביצוע ש"נ עפ"י הצורך
חשמלאי- יתרון משמעותי המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
8041942
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
1 ימים
י.ח. גליל בע"מ
לרשת הפצה של תחנות מפעל הפיס באזור השרון דרוש/ה טכנאי/ת שירות.
המשרה מתאימה במיוחד למועמדים המעוניינים ללמוד ולהתפתח בתחום, ולהיכנס לשוק העבודה בתפקיד טכני עם אופק מקצועי.

העבודה כוללת: 
- מתן מענה לתקלות טכניות
- מתן שירות לתחנה
- טיפולי אחזקה
- עבודה מול מעבדות, ספקים חיצוניים ונותני שירות טכני

משרת שטח הכוללת רכב צמוד!

ימים ראשון עד חמישי, כוננת שישי אחת לחודש (נדרשת יציאה לשטח רק במקרה של קריאה)
שעות: 8:00-17:00
דרישות:
- בוגר/ת מגמת חשמל / אלקטורניקה (מביה"ס / קורס מהצבא/ קורס פרטי)- חובה
- יכולות טכניות - חובה
- יכולת שירותית ותקשורת בינאישית גבוהה
- סבלנות
- עמידה בלחצים
- דייקונת
* המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
8040344
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
משרה בלעדית
1 ימים
דיאלוג
דרושים בדיאלוג
מיקום המשרה: מספר מקומות
סוג משרה: משרה מלאה
לחברה ביטחונית מצליחה אשר מפתחת ומייצרת מכלולים מולטי-דיסציפלינאריים חדשניים בתחומי ה- RF ו-Microwaves, המשולבים במערכות צבאיות ואזרחיות דרוש/ה מהנדס/ת / הנדסאי/ת בדיקות סופיות למשרה הכוללת ליווי והעברת מוצרים מפיתוח לייצור, בדיקות רכיבים,מודולים ומערכות בתחום ה RF ומיקרוגל, איתור וחקר תקלות.
דרישות:
BSc בהנדסת חשמל ואלקטרוניקה / הסמכת הנדסאי/ת אלקטרוניקה
3 שנות ניסיון כמהנדס/ת / הנדסאי/ת בדיקות סופיות
שליטה בציוד בדיקה סטנדרטי לאלקטרוניקה.
שליטה בציוד בדיקה בתחום ה RF כגון Network Analyzer,Signal Analyzer,Scope,Signal Generator
ניסיון בכיול ובדיקות בתחום RF - יתרון המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
8047285
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
מיקום המשרה: מספר מקומות
סוג משרה: משרה מלאה
דרוש/ה מנהל /ת תחום לוגיסטיקה ואחזקת מבנים לאזור הדרום
ניהול מערך המשק והתחזוקה.
אחריות כוללת לביצוע ויזום תיקונים, תחזוקת מבנים ומערכות ציוד (גילוי עשן, אחזקה, מיזוג אוויר, מע' מתח נמוך), טיפול בבטיחות וגהות, מעורבות בפועל בביצוע תיקונים ותחזוקה מונעת.
ניהול ופיקוח על עובדי ניקיון, תיאום ופיקוח על קבלני השירות ומערך ההובלות, אחריות לניהול המשקי השוטף.
ביצוע תוכנית האחזקה השנתית ודיווח.
דרישות:
חשמלאי/ת או הנדסאי/ת חשמל או הנדסאי/ת בניין מוסמכ/ת תנאי סף
השכלה אקדמית - יתרון
ניסיון מצטבר בביצוע אחזקה של 5 שנים לפחות - חובה
יכולת מוכחת ברכש, ניהול תקציב וניהול עובדים
הכרת המערכת הירוקה ושליטה בישומי המחשב
יכולת מוכחת של קריאת תוכניות בניין, חשמל, מיזוג ואינסטלציה
יכולת מקצועית בתחומים: מערכות מים וביוב, צביעה וחיפוי, אלומיניום, מיזוג אויר
ידע מוכח בניהול בטיחות וגהות
נכונות לעבודה בשעות בלתי שגרתיות המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
8047984
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
משרה בלעדית
1 ימים
מיקום המשרה: נתיבות ותל אביב יפו
דרושים/ות עובדים/ות כלליים/ות לחברה מובילה העוסקת בתחום מיזוג אוויר וקירור תעשייתי.

יש אפשרות לעבודת שטח או לעבודה במפעל החברה: 
* שטח:
- תחזוקה והתקנות בשטח בכל רחבי הארץ - עבודה מאתגרת ומעניינת, נופים מדהימים בכל בוקר, משרה דינאמית עם מפגש של מגוון אנשים.
- מקום התכנסות: תל אביב. 
- אפשרויות קידום במשרת השטח לתפקיד ניהול צוות, הכולל רכב צמוד, פרמיות וקרן השתלמות!

* מפעל: עבודה שבמפעלנו בדרום הארץ - נוח, רגוע, למי שאוהב/ת לא להסתובב יותר מדי. משרה קבועה ללא שינויים. 
תנאים טובים, הכוללים ארוחות, קרן השתלמות, פרמיות, איסוף מנקודות נבחרות בדרום הארץ.

משרה מלאה. 
מתאים כעבודה מועדפת לחיילים/ות משוחררים/ות!
דרישות:
- רישיון נהיגה - רצוי.
- ללא רישום פלילי (התפקיד כולל כניסה למתקנים מאובטחים). 
- המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
8054702
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
1 ימים
סיסטמטיקס
דרושים בסיסטמטיקס
Location: Tel Aviv-Yafo
Job Type: Full Time and Hybrid work
Join a dynamic team that combines a passion for MATLAB and Simulink with, development of Signal processing and Communication applications of our customers in various industries (Aerospace Defence, Medical, Electronics, Education, etc.)
Responsibilities
Providing technical pre-sales support, in understanding and synthesizing customer requirements, then explaining the features and capabilities of our products and related third party products relative to their specific needs.
Preparing and delivering product presentations to customers. These are delivered during customer meetings and during marketing seminars where large audiences exist.
Developing demonstration programs, application examples, and simulation models. These are generally used as support for a sales call or to make a solution clear relative to an application support assignment.
Providing Technical Support to customers
Requirements:
A bachelor's degree in Engineering
Deep knowledge and understanding of Signal processing domain and Communication systems
A few years of work experience in development Signal processing domain and Communication systems with MATLAB Simulink
Experience in developing Embedded Systems - advantage
This position is open to all candidates.
 
Show more...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7983209
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
המימד השלישי
דרושים בהמימד השלישי
סוג משרה: משרה מלאה
הגורם המוציא אל הפועל את עבודת התכנון והיצור תוך התמודדות מול תכתיבי השטח אצל הלקוחות באזור המרכז
תפקיד שטח מול הלקוחות בכל הקשור לטיפול בתקלות שבר, טיפול מונע והתקנות
ליווי והדרכת הלקוח בהפעלת המוצר
דרישות:
רישיון חשמלאי/ת מוסמך/ת-חובה
ניסיון של לפחות 4 שנים בתעשייה בתחום זרם חזק-יתרון גדול
ניסיון מעשי בתחום בקרים מתוכנתים כולל יכולת ביצוע שינויי תכנה-יתרון גדול
אנגלית טכנית טובה + יכולת ניהול שיחה באנגלית המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7952767
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
1 ימים
CBRE
דרושים בCBRE
מיקום המשרה: מספר מקומות
סוג משרה: משרה מלאה
לחברת CBRE, חברה לניהול נכסים מניבים דרוש/ה אחראי/ת אחזקה לבניין משרדים בבני ברק
להלן פרופיל המשרה:
" ניהול וביצוע מערך האחזקה באתר: אחריות כוללת על כל המערכות האלקטרו-מכניות וכן על כלל תקלות החשמל והאחזקה של המבנה.
" הפעלת קבלנים לצורך תיקונים ועבודות שונות וביצוע משא ומתן מולם.
" הפעלת נותני שירותים ומעקב על טיפולים שוטפים.
" ביצוע אחזקה מונעת ואחזקת שבר של כלל מערכות הבנין.
" ביצוע סיורים ורישומים יומיים.
" תפעול ובקרה על מערכות תאורה, מיזוג אויר, מעליות, גילוי אש, גנרטור.
" הפעלה ופיקוח על חברת שמירה ואבטחה, ניקיון, גינון ועוד.
" תמיכה בידע מקצועי וטכני ללקוחות הבנין.
" פיקוח ובקרת תהליכים במחלקה הכולל ליווי של עבודות קבלן כולל בדיקת איכות העבודה תוך הקפדה על עבודה תחת נוהלי הבטיחות באתר.
" ניהול תקציב אחזקה.
" ניהול פרויקטים יחודיים.
דרישות:
נכונות לקריאות חירום על פי הצורך, 24/7 כולל שבתות וחגים
" רישיון חשמלאי ראשי חובה בתל אביב, מוסמך ומעלה בבני ברק!!!
" ידע בטיפול בתחומי אחזקה מהותיים כגון: לוחות חשמל, גנרטורים, תאורה, מערכות מתח נמוך - כיבוי אש, פריצה, כריזה.
" הכרות עם מערכת בקרת חניון.
" שליטה בכל יישומי מחשב - OFFICE
" יכולות ניהוליות, יכולת עמידה בלחצים ועבודה על מספר נושאים במקביל.
" נכונות לקריאות חירום על פי הצורך, 24/7 כולל שבתות וחגים המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
7901590
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
Manpower - טכני- שירות ומכירה
מיקום המשרה: מספר מקומות
סוג משרה: משרה מלאה
שכר: 15,000-18,000
לחברה טכנולוגית בקרית גת דרוש/ה הנדסאי/ת אלקטרוניקה
התפקיד כולל: הובלתתלהיך הנדסי במחלקת בד"ס, מתן מענה לתקלות חשמליות, תיבת סקריפטים, ביצוע כיולים, ניהול תהליך RMA
היקף המשרה: מלאה א'-ה' 8:00-17:00
יש הסעות מקריית גת
דרישות:
נסיון בתפקיד דומה - חובה
ניסיון בתהילי ייצור/ הלחמות/ הרכבות
הנדסאי אלקטרוניקה/ חשמל - חובה
ניסיון בבדיקת מעגלים חשמליים PCB
ניסיון בתוכנה - כתיבת סקריפטים המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
8052896
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
1 ימים
דרושים באלטשולר-רביד הנדסה וייעוץ חשמל  בע"מ
מיקום המשרה: אשדוד
סוג משרה: משרה מלאה
למשרד תכנון חשמל באשדוד דרוש/ה שרטט /ת. 

התפקיד כולל:
- שרטוט תכניות חשמל תאורה ותקשורת.
- תיאום מול רשויות.
- תיאום מול יועצים/אדריכלים.

משרה מלאה ימים א' ה'.
סביבת עבודה נעימה ומשפחתית.
דרישות:
ידע וניסיון באוטוקד - יתרון משמעותי.
הנדסאי /ת בניין /אדריכלות / חשמל - יתרון.
התפקיד דורש אחריות, יכולת ניהול זמנים עצמי, שירותיות, עבודת צוות ויחסי אנוש גבוהים.
- המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדות
עדכון קורות החיים לפני שליחה
8058798
סגור
שירות זה פתוח ללקוחות VIP בלבד
לוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
1 ימים
מיקום המשרה:מרכז
סוג משרה: משרה מלאה
לחברה גלובלית מובילה במרכז
דרוש /ה
טכנאי /ת שירות למערכות בטחון ואבטחה
לעבודת שטח מול לקוחות מוסדיים ובטחוניים.
*משרה מלאה כולל כוננויות*
*כולל רכב חברה*. המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
8062225
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
1 ימים
מיקום המשרה: מודיעין מכבים רעות
עיריית מודיעין מכבים רעות מפרסמת מכרז פומבי לתפקיד:
חשמלאי/ת מוסמך/ראשי במחלקת חשמל באגף התשתיות.
היקף משרה: 100% * 2.
ייעוד ומטרת העיסוק: תחזוקת מערכת התאורה ברשות המקומית ושמירה על תקינותה כדי לאפשר רמת בטיחות גבוהה ואיכות חיים לתושבים.
מטלות ותחומי אחריות עיקריים:
מאתר ומתקן תקלות ברשת המאור בהתאם לתכנית עבודה שתוגדר ע"י הממונה הישיר.
פירוט המטלות העיקריות:
מסייע בהכנת הציוד לקראת יצירה למקום האירוע/תקלה בהתאם להנחיות המנהל, ובכלל זה ציוד אישי (בהתאם לצורך).
* תחזוקה מתוכננת ושוטפת של מערכת התאורה ברשות המקומית.
* טיפול ומענה לתקלות חשמל במוס"ח ובמוסדות העירייה.
* מאתר ומתקן תקלות ברשת המאור בהתאם לתוכנית עבודה שתוכן ע"י הממונה הישיר.
* מבצע כל מטלה, המנותבת לו ע"י הממונה, מעבר למטלות השוטפות.
* מקפיד להיות צמוד בכל החלטותיו ופעילותיו לחוקים, לצווים ולנהלים התקפים בשירות הציבורי בכלל בשלטון המקומי בפרט וברשות המקומית בפרט שבפרט.
* מציע לממונה עליו שינויים ותוספות לשיפור וייעול שיטות העבודה ודרכי ביצועה והצעות לשיפור כלים ואמצעים שבשימושו, בכל הנוגע לתחומי אחריותו ולפעילותו.
* עורך סיורים בלילה בהתאם לתוכנית העבודה לצורך איתור תקלות במערכת החשמל.

תאריך הגשת המועמדות: 25/2/2025 עד השעה 12:00.
דרישות:
מאפייני העשייה הייחודיים בתפקיד:
היעדר פחד גבהים, מאמץ פיזי לפעולות בודדות, חשיפה לתנאי מזג אויר שונים בהתאם לעונות השנה (עבודת שטח).
אמינות ומהימנות אישית, קפדנות ודייקנות בביצוע, ערנות ודריכות, הבנה ותפיסה, מיומנות טכנית ותפיסה מכאנית, יכולת ארגון ותכנון, יכולת תיאום, פיקוח ובקרה.
עבודה בשעות בלתי שגרתיות.
תנאים מקדימים למינוי - תנאי סף:
השכלה:
תיכונית מלאה, בעל תעודה של חשמלאי מוסמך/ראשי מטעם משרד העבודה והרווחה.
ניסיון מקצועי:
ניסיון כחשמלאי מוסמך/ראשי במשך שנתיים לפחות בשבע שנים אחרונות.
כשירות מקצועיות: תעודת יושר. רישיון נהיגה בתוקף. המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
8062095
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
1 ימים
מיקום המשרה: אשדוד
דרוש/ה מנהל /ת מדור תחזוקת בניין העירייה (חשמלאי).
%100 משרה.
תיאור התפקיד:
תחזוקה שוטפת של מערכות בניין העירייה, לרבות תחזוקה במערך לוגיסטי, תפעולי, טיפול ברשתות חשמל ובקרת מעליות.
בקרת ניקיון וליווי קבלני בינוי.
פיקוח אחר קבלני ביצוע ותיקונים.
זמינות לטיפול בקריאות לאחר שעות העבודה/ סופי שבוע.
מטלות נוספות שיוטלו ע"י הממונים מעת לעת.
תאריך הגשת המועמדות: 27.02.2025 23:59.
דרישות:
דרישות התפקיד:
1. בוגר/ת 12 שנות לימוד לפחות.
2. ניסיון מוכח של שנה לפחות בתחום אחזקה ו/או עבודות חשמל.
3. רישיון חשמלאי/ת.
כישורים אישיים:
אמינות ומהימנות אישית, קפדנות ודייקנות בביצוע, הבנה ותפיסה, כושר למידה, מרץ ופעלתנות, שקדנות וחריצות. יכולת תיאום, פיקוח ובקרה, נשיאה באחריות, קיום וטיפוח יחסים בינאישיים, שירותיות, הגדלת ראש (תחזוקה מונעת).
יתכנו שינויים במסגרות ההעסקה למעבר לשבוע עבודה של 6 ימים או העסקה במשמרת שנייה, עפ"י שיקול דעתה של העירייה. המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
8062057
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
1 ימים
מיקום המשרה: קרית ביאליק
סוג משרה: משרה מלאה
אנו מגייסים לצוות האינטגרציה שלנו ב-Commit טכנאי/ת RF לצפון הארץ.
התפקיד כולל ביצוע בדיקות מעבדה ואינטגרציה על פלטפורמות אוויריות.
מדידת יחסי גלים עומדים, רגישות קליטה, הספקי שידור, Spectrum Analyzer.
דרישות:
בוגר/ת הנדסאי/ת אלקטרוניקה.

ניסיון של 3 שנים בעבודה על מטוסים ומסוקים בתחום האוויוניקה והתקשורת RF חובה.

ידע וניסיון בעבודה עם צב"ד.

נכונות לנסיעות לחו"ל.

סיווג בטחוני יתרון משמעותי. המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
8061999
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
1 ימים
Location: Herzliya
Job Type: Full Time
We are seeking a highly skilled Emulation Engineer with extensive experience in the semiconductor industry to join our Chip Design team.

The ideal candidate will have a proven track record working with advanced emulation platforms, particularly Veloce, Palladium or Zebu.

Key Responsibilities:

Develop and maintain emulation models from RTL for complex SoC designs
Port RTL designs into emulation platform
Debug hardware and software issues in pre-silicon environments
Collaborate with frontend design, design verification, validation and software development teams to create comprehensive test strategies
Set up and maintain emulation hardware infrastructure
Develop workflows for efficient emulation processes
Collaborate with emulator vendor field service engineers to resolve hardware issues
Support users and debug issues
Requirements:
Bachelor's or masters degree in electrical engineering, Computer Engineering, or related field
5+ years of experience in emulation engineering within the semiconductor industry
Extensive hands-on experience with Veloce, Palladium, Zebu, or equivalent emulation platforms
Experienced in design or debug of complex SOC, ARM CPU, fabric and AXI and AHB busses, and interfaces (DDR, PCIe, USB etc.)
Strong understanding of RTL design, synthesis, and gate-level implementation
Proficiency in scripting languages such as Perl, Python, and Tcl
Excellent problem-solving and debugging skills for complex hardware/software issues
Familiarity with software debug tools and CPU/SoC architectures
Strong communication skills
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
8061964
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
1 ימים
מיקום המשרה: קרית ביאליק
סוג משרה: משרה מלאה
חברתנו מחפשת מפתח/ת תוכנת צב"דים לפעילות באזור הצפון.

הצטרפות לצוות פיתוח בדיקות, עבודה דינמית, על פרוייקטים מגוונים.

יידרש סיווג ביטחוני.
דרישות:
תואר ראשון בהנדסת חשמל ואלקטרוניקה/הנדסאי אלקטרוניקה - חובה.

2-3 שנים של ניסיון בכתיבת קוד בשפות C , שפת #C.

ניסיון בעבודה עם כלי מדידה /צב"ד - כגון DMM, Scope - יתרון.

הכרות עם Lab Windows\CVI ו Teststand.

לבעלי/ת תואר בהנדסת תוכנה, נדרש רקע באלקטרוניקה. המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
8061947
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
2 ימים
מיקום המשרה: מודיעין מכבים רעות
סוג משרה: משמרות
דרוש חשמלאי לתפקיד חשמלאי אחזקה, לחברת חוות שרתים הגדולה בארץ,במודיעין.
עובד חברה מהיום הראשון - העסקה ישירה בחברה מסודרת ויציבה
המשרה כוללת רכב צמוד
העבודה במשמרות 24/7 (משרה מלאה)
התפקיד כולל:
עבודה עם מהנדסי החברה, וכחלק מצוות האחזקה במתקן.
ביצוע טיפולים תקופתיים למתקני החשמל השונים במתקן.
טיפול בתקלות שבר.
דרישות:
רשיון חשמלאי מוסמך או ראשי. המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
8061574
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
2 ימים
מיקום המשרה: פתח תקווה
סוג משרה: משרה מלאה
למפעל תעשייתי דרוש/ה מהנדס/ת חשמל ובקרה.העבודה כוללת תכנון וביצוע מערכות הבקרה למתקני טיפול במים.הובלה של אינטגרציה של מערכות בקרה,חשמל,איתור תקלות בבקרים ובטיפולן,שיפור מערכות אוטומציה,פיקוח וניהול עבודות חשמל.
דרישות:
רישיון חשמלאי /ת מהנדס /ת - חובה ניסיון בתכנון בקרי PLC של 3 שנים לפחות ניסיון עם בקרים מתוכנתים כגון GE, Schneider, Allen Bradly, Siemens ידע בווסתי תדר - יתרון הכרות / בניה של מערכות SCADA ו HMI ניסיון בכתיבת תפ"ם - יתרון ידע בקריאה, שרטוט ותכנון תכניות חשמל- חובה הבנה בפרוטוקולי תקשורת תעשייתיות יתרון יכולת למידה עצמאית ניסיון בעבודת צוות ומול ממשקים חוצי ארגון אנגלית ברמה גבוהה. המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
8061327
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
2 ימים
Location: Tel Aviv-Yafo and Haifa
Job Type: Full Time
Required Networking RTL Design Engineer, Cloud
About the job:
Be part of a diverse team that pushes boundaries, developing custom silicon solutions that power the future of our direct-to-consumer products. You'll contribute to the innovation behind products loved by millions worldwide. Your expertise will shape the next generation of hardware experiences, delivering unparalleled performance, efficiency, and integration.
In this role, you will be part of a team developing Application-Specific Integrated Circuit (ASIC) used to accelerate networking in data centers. You will participate in the design, architecture, documentation, and implementation of the next generation of data center accelerators. You will be responsible for performance analysis for an end to end networking stack using deep knowledge of RDMA based transports.
Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of our platforms, we make our product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible.
Responsibilities:
Participate in evaluation of future ASIC designs and general architecture for executing our data center networking roadmap, off-the-shelf components, vendor co-developments, custom designs, and chiplets.
Collaborate in developing new layer protocols for data center networking.
Understand how everything interacts with software and other ASIC subsystems to implement groundbreaking data center networks.
Define performance hardware/software interfaces. Write micro-architecture and design specifications
Define efficient micro-architecture and block partitioning/interfaces and flows.
Requirements:
Minimum qualifications:
Bachelor's degree or equivalent practical experience.
8 years of experience architecting networking ASICs from specification to production.
Experience working with design networking such as RDMA or packet processing and system design principles for low latency, high throughput, security, and reliability.
Experience developing RTL for ASIC subsystems.
Experience in Cross-functional, micro-architecture, design, verification, logic synthesis, and timing closure.
Preferred qualifications:
Experience in Transmission Control Protocol (TCP), IP, Ethernet, PCIE and Dynamic random-access memory (DRAM), Network on Chip (NoC) principles and protocols.
Experience working with software teams optimizing the hardware/software interface.
Experience architecting networking switches, end points, and hardware offloads.
Experience in a procedural programming language (e.g., C++, Python, Go).
Experience in estimating performance by analysis, modeling, and network simulation. Skilled in defining and driving performance test plans.
Understanding of packet classification, processing, queueing, scheduling, switching, routing, traffic conditioning, and telemetry.
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
8061107
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
2 ימים
Location: Tel Aviv-Yafo and Haifa
Job Type: Full Time
Required Junior CPU Design Verification Engineer, University Graduate, 2025 Start
About the job:
Be part of a diverse team that pushes boundaries, developing custom silicon solutions that power the future of our direct-to-consumer products. You'll contribute to the innovation behind products loved by millions worldwide. Your expertise will shape the next generation of hardware experiences, delivering unparalleled performance, efficiency, and integration.
As a Junior CPU Design Verification Engineer, you will work as part of a Research and Development team building verification components, constrained-random testing, system testing, and verification closure. As part of our server chip design team, you will verify complex digital designs. You will collaborate with design and verification engineers in active projects and perform verification. You will be responsible for the full lifecycle of verification which can range from verification planning, test execution, or collecting and closing coverage.
Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of our platforms, we make our product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible.
Responsibilities:
Plan the verification of digital design blocks by fully understanding the design specification and interacting with design engineers to identify important verification scenarios.
Create and enhance constrained-random verification environments using SystemVerilog or formally verify designs with SystemVerilog Assertions (SVA) and industry leading formal tools.
Identify and write all types of coverage measures for stimulus and corner-cases.
Debug tests with design engineers to deliver functionally correct design blocks.
Apply close coverage measures to identify verification holes and to show progress towards tape-out.
Requirements:
Minimum qualifications:
Bachelor's degree in Electrical Engineering, Computer Engineering, Computer Science, or a related field, or equivalent practical experience.
Experience creating and using verification components and environments in standard verification methodology.
Experience verifying digital logic at Register Transfer Level (RTL) level using SystemVerilog or Specman/E for Field Programmable Gate Arrays or ASICs.
Preferred qualifications:
Masters degree in Electrical Engineering or Computer Science.
Experience with Universal Verification Methodology (UVM), SystemVerilog, or other scripting languages (e.g., Python, Perl, Shell, Bash, etc.).
Experience with CPU implementation, assembly language, or compute SOCs.
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
8061078
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
2 ימים
Location: Tel Aviv-Yafo and Haifa
Job Type: Full Time
Required Senior CPU Design Verification Engineer, Cloud
About the job
Be part of a diverse team that pushes boundaries, developing custom silicon solutions that power the future of our direct-to-consumer products. You'll contribute to the innovation behind products loved by millions worldwide. Your expertise will shape the next generation of hardware experiences, delivering unparalleled performance, efficiency, and integration.
As a Senior CPU Design Verification Engineer, you will work as part of a Research and Development team, and you will build verification components, constrained-random testing, system testing, and verification closure. As part of our server chip design team, you will verify digital designs. You will collaborate closely with design and verification engineers in projects and perform direct verification. You will build efficient and effective constrained-random verification environments that exercise designs through their corner-cases and expose all types of bugs. You will manage the full lifecycle of verification which can range from verification planning, test execution or collecting, and closing coverage.
Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of our platforms, we make our product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible.
Responsibilities:
Plan the verification of digital design blocks by fully understanding the design specification and interacting with design engineers to identify important verification scenarios.
Create and enhance constrained-random verification environments using SystemVerilog/UVM, or Specman.
Identify and write all types of coverage measures for stimulus and corner-cases.
Debug tests with design engineers to deliver functionally correct design blocks.
Lead coverage measures to identify verification holes and to show progress towards tape-out.
Requirements:
Minimum qualifications:
Bachelor's degree in Electrical Engineering or equivalent practical experience.
3 years of experience verifying digital logic at RTL level using SystemVerilog, or Specman/E for FPGAs or ASICs.
Experience verifying digital systems using standard IP components/interconnects (microprocessor cores, hierarchical memory subsystems).
Experience creating and using verification components and environments in standard verification methodology.
Preferred qualifications:
Masters degree in Electrical Engineering or Computer Science.
Experience with CPU implementation, assembly language, or compute System on a Chip (SOC).
Experience with UVM, SystemVerilog, or other scripting languages (e.g., Python, Perl, Shell, Bash, etc.).
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
8061042
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
2 ימים
Location: Tel Aviv-Yafo and Haifa
Job Type: Full Time
Required Senior Formal Verification Engineer, Cloud
About the job
Be part of a diverse team that pushes boundaries, developing custom silicon solutions that power the future of our direct-to-consumer products. You'll contribute to the innovation behind products loved by millions worldwide. Your expertise will shape the next generation of hardware experiences, delivering unparalleled performance, efficiency, and integration.
The team's mission at our System Infrastructure is to build cloud for our services and for our Cloud customers, by solving real world business challenges of performance, cost, and scale, utilizing unique hardware, software, and system solutions. In this role, you'll perform formal verification of design properties of ASIC designs. You will collaborate with design and verification engineers to define properties that capture the design intent of a logic block and constraints on its input stimulus. You'll also help define and improve design and verification methodologies that allow users to achieve formal verification closure.
Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of our platforms, we make our product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible.
Responsibilities:
Plan the formal verification strategy and create the properties and constraints for digital design blocks.
Utilize formal property verification tools combined with formal verification closure techniques to verify properties.
Resolve difficult to verify properties, and contribute improvements to methodologies to enhance formal verification results.
Architect and implement reusable formal verification components.
Requirements:
Minimum qualifications:
Bachelor's degree in Electrical Engineering, Computer Science, or equivalent practical experience.
8 years of experience working in main interconnects, Direct Memory Access (DMA), controllers, and power management.
Experience capturing design specification in a temporal assertion language (e.g., SVA or PSL).
Preferred qualifications:
Master's degree or PhD in Electrical Engineering or Computer Science.
Experience with scripting languages (e.g., Python).
Experience working with one or more formal verification tools, such as JasperGold, VC Formal, Questa Formal, or 360-DV.
Knowledge of formal verification algorithms.
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
8061017
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
2 ימים
Location: Tel Aviv-Yafo and Haifa
Job Type: Full Time
Required Network Register Transfer Level Design Engineer, Cloud
About the job:
Be part of a diverse team that pushes boundaries, developing custom silicon solutions that power the future of our direct-to-consumer products. You'll contribute to the innovation behind products loved by millions worldwide. Your expertise will shape the next generation of hardware experiences, delivering unparalleled performance, efficiency, and integration.
In this role, you will be part of a team developing Application-Specific Integrated Circuit (ASIC) used to accelerate networking in data centers. You will have dynamic, multi-faceted responsibilities in areas such as project definition, design, and implementation. You will participate in the design, architecture, documentation, and implementation of the next generation of data center accelerators. You will be responsible for performance analysis for an end to end networking stack using your deep knowledge of Remote Direct Memory Access (RDMA) based transports.
Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of our platforms, we make our product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible.
Responsibilities:
Participate in evaluation of future ASIC designs and general architecture for executing our data center networking roadmap, off-the-shelf components, vendor co-developments, custom designs, and chiplets.
Collaborate in developing new layer protocols for data center networking.
Understand how everything interacts with software and other ASIC subsystems to implement data center networks.
Define performance hardware/software interfaces. Write micro-architecture and design specifications.
Define efficient micro-architecture and block partitioning/interfaces and flows.
Requirements:
Minimum qualifications:
Bachelor's degree or equivalent practical experience.
8 years of experience architecting networking ASICs from specification to production.
Experience working with design networking like: RDMA and or packet processing and system design principles for low latency, high throughput, security, and reliability.
Experience developing Register Transfer Level (RTL) for ASIC subsystems.
Experience in Cross-functional, micro-architecture, design, verification, logic synthesis, and timing closure.
Preferred qualifications:
Experience in Transmission Control Protocol (TCP), IP, Ethernet, PCIE and Dynamic random-access memory (DRAM), Network on Chip (NoC) principles and protocols.
Experience in estimating performance by analysis, modeling, and network simulation. Skilled in defining and driving performance test plans.
Experience working with software teams optimizing the hardware/software interface.
Experience architecting networking switches, end points, and hardware offloads.
Experience in a procedural programming language (e.g. C++, Python, Go.).
Understanding of packet classification, processing, queueing, scheduling, switching, routing, traffic conditioning, and telemetry.
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
8061008
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
פורסם ע"י המעסיק
 
משרה בלעדית
2 ימים
מיקום המשרה: ירושלים
סוג משרה: משרה מלאה
ניהול עובדי יחידת המערכות, הנחייה והכשרת העובדים ביחידה.
ניהול, תכנון, ביצוע ופיקוח על עבודות אחזקה והתקנה במערכות אלקטרומכניות, לרבות מיזוג אוויר, מערכות אינסטלציה,חדרי מכונות ומרכזי קור/חום.
הכנת תוכניות, מפרטים וכתבי כמויות.
בדיקה ואישור חשבונות במסגרת ביצוע התקנות.
שדרוג ושיפוץ מערכות מיזוג אוויר ופיקוד נלווה.
טיפול במכרזים, הצעות מחיר ומו"מ.
בדיקה ואישור חשבונות של עבודות לשיפור ושיפוץ המערכות האלקטרו מכאניות לרבות תיקוני שבר.
קבלת מתקנים חדשים עם סיום פרויקט.
הפעלת קבלנים ופיקוח על עבודתם.
הכנת ועריכת נהלים לתפעול מתקנים אלקטרומכניים.
מטלות נוספות בהתאם להנחיית הממונים.
דיווח למנהל תפעול ותשתיות בקמפוס.
דרישות:
מהנדס מכונות / חשמל, הרשום בפנקס המהנדסים.
רישיון חשמלאי - יתרון.
ניסיון מקצועי מוכח של 5 שנים לפחות בתחום מיזוג אוויר/ מערכות.
ידע בתחום חשמל ובקרה - יתרון.
ניסיון ניהולי - יתרון.
ידע והכרות עם תוכנות ה OFFICE.
שליטה במערכות מחשוב,כגון: AUTOCAD, רמדור ובינארית-יתרון.
ידיעת השפה העברית על בוריה ואנגלית טכנית ברמה טובה.
יכולת לנהל ולהניע צוותי עובדים, יחסי אנוש טובים, יכולת התמודדות עם לחץ בעבודה.
נכונות לעבודה בשעות בלתי שגרתיות.
העבודה בקמפוס עין כרם.

היקף: משרה מלאה המשרה מיועדת לנשים ולגברים כאחד.
 
עוד...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
8059297
סגור
שירות זה פתוח ללקוחות VIP בלבד
סגור
דיווח על תוכן לא הולם או מפלה
מה השם שלך?
תיאור
שליחה
סגור
v נשלח
תודה על שיתוף הפעולה
מודים לך שלקחת חלק בשיפור התוכן שלנו :)
 
נאספה מאתר אינטרנט
2 ימים
Location: Tel Aviv-Yafo
Job Type: Full Time
Required SoC Design for Testing Engineer, Cloud
About the job:
Be part of a diverse team that pushes boundaries, developing custom silicon solutions that power the future of our direct-to-consumer products. You'll contribute to the innovation behind products loved by millions worldwide. Your expertise will shape the next generation of hardware experiences, delivering unparalleled performance, efficiency, and integration.
As a SoC DFT Engineer you will be responsible for defining, implementing and deploying advanced design for test (DFT) methodologies for highly digital or mixed-signal chips or IPs. You will define silicon test strategies, DFT architecture, and create DFT specifications for a CPU. You will design, insert and verify the DFT logic.You will prepare for post silicon and co-work/debug with test engineers. You will be responsible for reducing test cost, increasing production quality and enhancing yield.Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of our platforms, we make our product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible.
Responsibilities:
Develop DFT strategy and architecture (e.g., hierarchical DFT, Memory Built-In Self Test (MBIST), Automatic Test Pattern Generation (ATPG).
Complete all Test Design Rule Checks (TDRC) and Design changes to fix TDRC violations to achieve high-test quality.
Insert DFT logic, boundary scan, scan chains, DFT Compression, Logic BIST, TAP controller, Clock Control block, and other DFT IP blocks.
Insert and hook up MBIST logic including test collar around memories, MBIST controllers, eFuse logic, and connect to core and TAP interfaces.
Document DFT architecture, test sequences, and boot-up sequences associated with test pins.
Requirements:
Minimum qualifications:
Bachelor's degree in Electrical Engineering, a related field, or equivalent practical experience.
3 years of experience with Design For Test (DFT) methodologies, DFT verification, and industry-standard DFT tools.
Experience with ASIC DFT synthesis, simulation, and verification flow.
Experience using Electronic Design Automation (EDA) test tools (e.g., Spyglass, Tessent, etc.).
Preferred qualifications:
Master's degree in Electrical Engineering.
Experience in fault modeling.
Experience in IP integration (e.g., Memories, Test Controllers, Test Access Point (TAP), and Memory Built-In Self Test (MBIST)).
Experience working with ATE engineers (e.g., silicon bring-up, patterns generation, debug, validation on automatic test equipment, debug of silicon issues).
Experience in SoC cycles, including silicon bring-up and silicon debug activities.
This position is open to all candidates.
 
Show more...
הגשת מועמדותהגש מועמדות
עדכון קורות החיים לפני שליחה
עדכון קורות החיים לפני שליחה
8060943
סגור
שירות זה פתוח ללקוחות VIP בלבד
משרות שנמחקו